round robin 5路verilog实现 与tb文件

时间: 2023-08-23 22:06:39 浏览: 35
以下是Round Robin 5路 Verilog 实现的代码和测试台文件: ``` // Round Robin 5路 Verilog 实现 module round_robin_5(input clk, input reset, input [4:0] request, output reg [4:0] grant); reg [4:0] priority; reg [2:0] round; always @(posedge clk) begin if (reset) begin priority <= 5'b11111; round <= 3'b0; end else begin priority <= {priority[3:0], priority[4]}; if (request != 0) priority[0] <= 1'b0; if (priority == 5'b00000) priority <= 5'b11111; if (round == 3'b0) begin if (priority[0]) grant <= 1'b1; round <= 3'b1; end else if (round == 3'b1) begin if (priority[1]) grant <= 1'b1; round <= 3'b2; end else if (round == 3'b2) begin if (priority[2]) grant <= 1'b1; round <= 3'b3; end else if (round == 3'b3) begin if (priority[3]) grant <= 1'b1; round <= 3'b4; end else begin if (priority[4]) grant <= 1'b1; round <= 3'b0; end end end endmodule ``` ``` // Round Robin 5路 Verilog 测试台文件 `timescale 1ns / 1ns module round_robin_5_tb(); reg clk, reset; reg [4:0] request; wire [4:0] grant; round_robin_5 dut( .clk(clk), .reset(reset), .request(request), .grant(grant) ); initial begin clk = 1'b0; reset = 1'b1; request = 5'b11111; #10 reset = 1'b0; #10 request = 5'b00001; #10 request = 5'b00010; #10 request = 5'b00100; #10 request = 5'b01000; #10 request = 5'b10000; #10 request = 5'b00000; #10 request = 5'b10101; #10 request = 5'b01010; #10 request = 5'b00101; #10 request = 5'b10010; #10 request = 5'b01001; #10 request = 5'b00000; #10 request = 5'b10101; #10 request = 5'b01010; #10 request = 5'b00101; #10 request = 5'b10010; #10 request = 5'b01001; #10 request = 5'b00000; #10 $finish; end always #5 clk = ~clk; endmodule ```

相关推荐

最新推荐

recommend-type

基于FPGA的LCD1602动态显示---Verilog实现

FPGA驱动LCD1602,其实就是通过同步状态机模拟单片机驱动LCD1602,由并行模拟单步执行,状态过程就是先初始化LCD1602,然后写地址,最后写入显示数据。
recommend-type

FPGA作为从机与STM32进行SPI协议通信---Verilog实现

SPI,是英语Serial Peripheral Interface的缩写,顾名思义就是串行外围设备接口。SPI,是一种高速的,全双工,同步的通信总线,并且在芯片的管脚上只占用四根线,节约了芯片的管脚,同时为PCB的布局上节省空间,提供...
recommend-type

verilog 两种方法实现 除法器

基于verilog的两种方法(算法),实现的除法器,可在modelsim和总和软件中总和验证
recommend-type

verilog实现的UART(带中断、奇偶校验、帧错误)

input wire clk, //50MHz input wire rst_n, input wire rx_in, //串行输入
recommend-type

verilog实现任意位二进制转换BCD

一直感觉这是个很简单的问题,直到突然自己连BCD都不太清楚的时候,才发现这没有看起来那么简单,这里介绍里任意位二进制转为BCD的verilog代码,这个转换方法也可以用在C上面,此为原创,转载请注明,谢谢。
recommend-type

zigbee-cluster-library-specification

最新的zigbee-cluster-library-specification说明文档。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

MATLAB柱状图在信号处理中的应用:可视化信号特征和频谱分析

![matlab画柱状图](https://img-blog.csdnimg.cn/3f32348f1c9c4481a6f5931993732f97.png) # 1. MATLAB柱状图概述** MATLAB柱状图是一种图形化工具,用于可视化数据中不同类别或组的分布情况。它通过绘制垂直条形来表示每个类别或组中的数据值。柱状图在信号处理中广泛用于可视化信号特征和进行频谱分析。 柱状图的优点在于其简单易懂,能够直观地展示数据分布。在信号处理中,柱状图可以帮助工程师识别信号中的模式、趋势和异常情况,从而为信号分析和处理提供有价值的见解。 # 2. 柱状图在信号处理中的应用 柱状图在信号处理
recommend-type

HSV转为RGB的计算公式

HSV (Hue, Saturation, Value) 和 RGB (Red, Green, Blue) 是两种表示颜色的方式。下面是将 HSV 转换为 RGB 的计算公式: 1. 将 HSV 中的 S 和 V 值除以 100,得到范围在 0~1 之间的值。 2. 计算色相 H 在 RGB 中的值。如果 H 的范围在 0~60 或者 300~360 之间,则 R = V,G = (H/60)×V,B = 0。如果 H 的范围在 60~120 之间,则 R = ((120-H)/60)×V,G = V,B = 0。如果 H 的范围在 120~180 之间,则 R = 0,G = V,B =
recommend-type

JSBSim Reference Manual

JSBSim参考手册,其中包含JSBSim简介,JSBSim配置文件xml的编写语法,编程手册以及一些应用实例等。其中有部分内容还没有写完,估计有生之年很难看到完整版了,但是内容还是很有参考价值的。