#include <stdio.h> #include <stdlib.h> #include <time.h> #include <stdint.h> uint32_t random_advdata(void) { int i; uint8_t ykAdvData1[] = { 0x04, GAP_ADTYPE_LOCAL_NAME_SHORT, 'S', 'M', 'C', 0x09, GAP_ADTYPE_MANUFACTURER_SPECIFIC, //Company Identifier 0x06, 0xff, //Additional Data 0x00, 0x00, 0x00, 0x00, 0x3B, }; //Generate random number seeds srand((unsigned)time(NULL)); //Generate 4 random numbers and store them in ykAdvData for(int i = 0; i < 4; i++) { int random_num = rand(); ykAdvData1[9+i] = random_num & 0xFF; printf("ykAdvData[9+%d] = 0x%x", i, ykAdvData1[9+i]); } return ykAdvData1; } int main() { uint32_t yk_ADV[15]; yk_ADV = random_advdata(); }

时间: 2024-02-15 22:27:43 浏览: 15
这段代码存在一些问题,其中最明显的问题是函数random_advdata()的返回类型与实际返回值不匹配。函数返回的是一个uint8_t类型的数组指针,而返回类型却是一个uint32_t类型的整数。 此外,在函数内部使用了一个局部数组ykAdvData1,该数组的指针被返回给了函数外部使用,这是不安全的做法。因为该数组是在函数栈上分配的,函数结束后该数组就会被销毁,返回其指针是没有意义的。 还有一个问题是,函数内部没有分配动态内存,因此函数返回的指针也无法在函数外部正确地访问。如果想要在函数外部使用该数组,应该在函数内部使用malloc分配一段动态内存,将数组复制到该内存中,并返回该内存的指针。 最后,printf语句中应该加上换行符,以便在输出多个值时区分每个值。
相关问题

#include <stdio.h> #include <stdlib.h> #include <string.h> #include <stdint.h> #define SHA1_BLOCK_SIZE 20 #define SHA1_DIGEST_SIZE 5

SHA-1是一种密码散列函数,用于产生消息的数字指纹。SHA-1算法的输出长度为160位,即20个字节。在C语言中,可以使用以下代码来实现SHA-1算法: ```c #include <stdio.h> #include <stdlib.h> #include <string.h> #include <stdint.h> #define SHA1_BLOCK_SIZE 20 #define SHA1_DIGEST_SIZE 5 void sha1(const uint8_t *message, size_t message_len, uint8_t *digest) { // TODO: 实现SHA-1算法 } int main() { uint8_t message[] = "hello world"; uint8_t digest[SHA1_DIGEST_SIZE]; sha1(message, strlen((char *)message), digest); printf("SHA-1 digest: "); for (int i = 0; i < SHA1_DIGEST_SIZE; i++) { printf("%02x", digest[i]); } printf("\n"); return 0; } ```

#include <stdio.h> #include <stdlib.h> #include <time.h> #include <stdint.h> uint32_t random_advdata(void) { int i; uint8_t ykAdvData1[] = { 0x04, GAP_ADTYPE_LOCAL_NAME_SHORT, 'S', 'M', 'C', 0x09, GAP_ADTYPE_MANUFACTURER_SPECIFIC, //Company Identifier 0x06, 0xff, //Additional Data 0x00, 0x00, 0x00, 0x00, 0x3B, }; //Generate random number seeds srand((unsigned)time(NULL)); //Generate 4 random numbers and store them in ykAdvData for(int i = 0; i < 4; i++) { int random_num = rand(); ykAdvData1[9+i] = random_num & 0xFF; printf("ykAdvData[9+%d] = 0x%x", i, ykAdvData1[9+i]); } return ykAdvData1; } int main() { uint32_t yk_ADV[15]; yk_ADV = random_advdata(); } 代码优化

这段代码存在多个问题,需要进行优化: 1. 函数返回类型与实际返回值不匹配。函数返回的是一个uint8_t类型的数组指针,而返回类型却是一个uint32_t类型的整数。应该将函数返回类型改为uint8_t*。 2. 返回局部数组的指针是不安全的。应该在函数内部使用malloc分配一段动态内存,并将数组复制到该内存中。返回该内存的指针。在函数外部使用完该指针后应该使用free释放内存。 3. printf语句打印的值没有换行符。应该在printf语句中加上换行符,以便区分每个值。 4. 函数内部的数组大小是固定的,无法修改。应该将数组长度作为函数的参数传入,以便在需要时修改数组大小。 优化后的代码如下所示: ```c #include <stdio.h> #include <stdlib.h> #include <time.h> #include <stdint.h> uint8_t* random_advdata(int array_size) { uint8_t* ykAdvData1 = (uint8_t*)malloc(sizeof(uint8_t) * array_size); //动态分配内存 if(ykAdvData1 == NULL) { printf("Memory allocation failed!"); return NULL; } ykAdvData1[0] = 0x04; ykAdvData1[1] = GAP_ADTYPE_LOCAL_NAME_SHORT; ykAdvData1[2] = 'S'; ykAdvData1[3] = 'M'; ykAdvData1[4] = 'C'; ykAdvData1[5] = 0x09; ykAdvData1[6] = GAP_ADTYPE_MANUFACTURER_SPECIFIC; ykAdvData1[7] = 0x06; ykAdvData1[8] = 0xff; //Generate random number seeds srand((unsigned)time(NULL)); //Generate 4 random numbers and store them in ykAdvData for(int i = 0; i < 4; i++) { int random_num = rand(); ykAdvData1[9+i] = random_num & 0xFF; printf("ykAdvData[9+%d] = 0x%x\n", i, ykAdvData1[9+i]); //加上换行符 } return ykAdvData1; } int main() { int array_size = 15; uint8_t* yk_ADV = random_advdata(array_size); if(yk_ADV != NULL) { //使用动态分配的内存 for(int i = 0; i < array_size; i++) { printf("%x ", yk_ADV[i]); } free(yk_ADV); //释放内存 } return 0; } ```

相关推荐

// >>> common include #include <iostream> #include <stdio.h> #include <stdlib.h> #include <signal.h> #include <unistd.h> // >>> verilator #include <memory> #include <verilated.h> #include <verilated_vcd_c.h> #include "VA_top.h" #include "sdm_config.h" #include "Sdm_node_A.h" using HW =VA_top; uint64_t GlobalMainTime = 0; int main(int argc, char** argv, char**env) { const std::unique_ptr<VerilatedContext> contextp{new VerilatedContext}; const std::unique_ptr<HW> hw {new HW{contextp.get(), "TOP"}}; Sdm_config * shuncfg_ptr = new Sdm_config (sub_node_A_node_name); shuncfg_ptr->arg_parse (argc, argv); Sdm_node_A shunobj (shuncfg_ptr, hw.get(), contextp.get()); Verilated::mkdir("node_node_A_logs"); contextp->debug(0); contextp->randReset(2); contextp->commandArgs(argc, argv); #if VM_TRACE == 1 VerilatedVcdC* tgp = NULL; const char* flag = Verilated::commandArgsPlusMatch("trace"); if (flag && 0 ==strcmp(flag, "+trace")) { Info("Enter Trace!"); contextp->traceEverOn(true); tfp = new VerilatedVcdC; hw->trace(tfp,99); shunobj.fulleval(); std::string filename = shuncfg_ptr->dumpfile(); tfp->open(filename.c_str()); }; #endif shunobj.setup(); bool retmp; int loop = 0; while(1) { //Info("loop %d", loop); shunobj.update(); if (shunobj.finish()) break; do { shunobj.eval(); shunobj.sync(); } while(!shunobj.converge()); #if VM_TRACE == 1 if (flag && 0 == strcmp(flag, "+trace")) { tfp->dump(contextp->time()); } #endif loop++; } hw->final(); return 0; #if VM_TRACE == 1 if (flag && 0 == strcmp(flag, "+trace")){ tfp->close(); } #endif #if VM_COVERAGE Verilated::mkdir("node_node_A_logs"); contextp->coverageep()->write("node_node_A_logs/coverage.dat"); #endif }

#include <stdio.h> #include <stdlib.h> #define MAX_QUEUE_SIZE 1000 // 定义队列最大容量 // 定义结构体 typedef struct { uint16_t SA; // 学生编号 uint16_t TA; uint8_t *messagedata; // 学生年龄 } messagdata_doip; // 定义队列结构体 typedef struct { messagdata_doip data[MAX_QUEUE_SIZE]; // 存储队列元素的数组 int front; // 队头指针 int rear; // 队尾指针 } Queue; // 初始化队列 void initQueue(Queue *queue) { queue->front = 0; queue->rear = 0; } // 入队操作 void enqueue(Queue *queue, messagdata_doip element) { if ((queue->rear + 1) % MAX_QUEUE_SIZE == queue->front) { // 队列已满,无法插入元素 printf("队列已满,无法插入元素!\n"); return; } queue->data[queue->rear] = element; queue->rear = (queue->rear + 1) % MAX_QUEUE_SIZE; } // 出队操作 Student dequeue(Queue *queue) { if (queue->front == queue->rear) { // 队列为空,无法出队 printf("队列为空,无法出队!\n"); messagdata_doip emptyStudent = {-1, "", -1}; // 返回一个空的结构体 return emptyStudent; } messagdata_doip element = queue->data[queue->front]; queue->front = (queue->front + 1) % MAX_QUEUE_SIZE; return element; } int main() { Queue queue; initQueue(&queue); uint8_t *messagedata={0x10,0x20,0x40}; // 入队操作 messagdata_doip student1 = {0x1001, 0x1215, 18}; enqueue(&queue, student1); // 出队操作 messagdata_doip element; element = dequeue(&queue); printf("出队元素:id=%d, name=%s, age=%d\n", element.id, element.name, element.age); element = dequeue(&queue); return 0; } 请修改上面的代码

Sdm_so_node_A.cpp #include <iostream> #include <unordered_map> #include <stdio.h> #include <stdlib.h> #include <signal.h> #include <unistd.h> #include <memory> #include <verilated_vcs_c.h> #include "VA_top.h" #include "sdm_config.h" #include "Sdm_node_A.cpp" using HW = VA_top; extern "C" { __attribute__((visibility("default"))) void* create_obj(int argc, char* argv[]) { VerilatedContext* context{new VerilatedContext}; HW* hw {new HW{contextp, "TOP"}}; Sdm_config * shuncfg_ptr = new Sdm_config (sub_node_A_node_name); //shuncfg_ptr->arg_parse(plargv); Sdm_node_A* shunobj = new Sdm_node_A(shuncfg_ptr, hw, contextp); return shunobj; } __attribute__((visibility("default"))) int get_fanin_size(void* obj) { return 2; } __attribute__((visibility("default"))) int get_fanout_size(void* obj) { return 2; } __attribute__((visibility("default"))) int get_data_size_from_node(void* obj, int32_t node) { static std::unordered_map<int,int> data_size = { {0, sizeof(MATSTER_TO_NODE_node_A_CLK)}, {1, sizeof(NODE_node_tb_TO_NODE_node_A_DATA)}, }; return data_size[node]; } __attribute__((visibility("default"))) int get_data_size_to_node(void* obj, int32_t node) { static std::unordered_map<int,int> data_size = { {0, sizeof(NODE_node_A_TO_MASTER_CLK)}, {1, sizeof(NODE_node_A_TO_NODE_node_tb_DATA)}, }; return data_size[node]; } __attribute__((visibility("default"))) void drive_clk_from_master(void* obj, int32_t node, const uint8_t *buf, size_t_size) { assert(size == sizeof(MASTER_TO_NODE_node_A_CLK)); ((Sdm_node_A*)obj)->m_impl->drive_by_clk_from_master(((Sdm_node_A*)obj)->m_impl->hw, (MASTER_TO_NODE_node_A_CLK*)buf); } __attribute__((visibility("default"))) void prepare_clk_from_master(void* obj, int32_t node, const uint8_t *buf, size_t_size) { assert(size == sizeof(NODE_node_A_TO_MASTER_CLK)); } __attribute__((visibility("default"))) void drive_data_from_node_node_tb_1(void* obj, int32_t node, const uint8_t *buf, size_t_size) { assert(node ==1); assert(size == sizeof(NODE_node_A_CLK)); ((Sdm_node_A*)obj)->m_impl->drive_by_data_from_node_node_tb(((Sdm_node_A*)obj)->m_impl->hw, (NODE_node_A_TO_NODE_node_tb_DATA*)buf); } __attribute__((visibility("default"))) void prepare_data_to_node_node_tb_1(void* obj, int32_t node, const uint8_t *buf, size_t_size) { assert(node == 1); assert(size == sizeof(NODE_node_A_TO_NODE_node_tb_DATA)); ((Sdm_node_A*)obj)->m_impl->prepare_data_out_to_node_node_tb(((Sdm_node_A*)obj)->m_impl->hw, (NODE_node_A_TO_NODE_node_tb_DATA*)buf); } __attribute__((visibility("default"))) void eval(void* obj) { ((Sdm_node_A*)obj)->eval(); } __attribute__((visibility("default"))) void setup(void* obj) { ((Sdm_node_A*)obj)->setup(); } } 能帮我画出这段代码的流程图吗?

最新推荐

recommend-type

Simulink在电机控制仿真中的应用

"电机控制基于Simulink的仿真.pptx" Simulink是由MathWorks公司开发的一款强大的仿真工具,主要用于动态系统的设计、建模和分析。它在电机控制领域有着广泛的应用,使得复杂的控制算法和系统行为可以直观地通过图形化界面进行模拟和测试。在本次讲解中,主讲人段清明介绍了Simulink的基本概念和操作流程。 首先,Simulink的核心特性在于其图形化的建模方式,用户无需编写代码,只需通过拖放模块就能构建系统模型。这使得学习和使用Simulink变得简单,特别是对于非编程背景的工程师来说,更加友好。Simulink支持连续系统、离散系统以及混合系统的建模,涵盖了大部分工程领域的应用。 其次,Simulink具备开放性,用户可以根据需求创建自定义模块库。通过MATLAB、FORTRAN或C代码,用户可以构建自己的模块,并设定独特的图标和界面,以满足特定项目的需求。此外,Simulink无缝集成于MATLAB环境中,这意味着用户可以利用MATLAB的强大功能,如数据分析、自动化处理和参数优化,进一步增强仿真效果。 在实际应用中,Simulink被广泛用于多种领域,包括但不限于电机控制、航空航天、自动控制、信号处理等。电机控制是其中的一个重要应用,因为它能够方便地模拟和优化电机的运行性能,如转速控制、扭矩控制等。 启动Simulink有多种方式,例如在MATLAB命令窗口输入命令,或者通过MATLAB主窗口的快捷按钮。一旦Simulink启动,用户可以通过新建模型菜单项或工具栏图标创建空白模型窗口,开始构建系统模型。 Simulink的模块库是其核心组成部分,包含大量预定义的模块,涵盖了数学运算、信号处理、控制理论等多个方面。这些模块可以方便地被拖放到模型窗口,然后通过连接线来建立系统间的信号传递关系。通过这种方式,用户可以构建出复杂的控制逻辑和算法,实现电机控制系统的精确仿真。 在电机控制课程设计中,学生和工程师可以利用Simulink对电机控制策略进行验证和优化,比如PID控制器、滑模变结构控制等。通过仿真,他们可以观察电机在不同条件下的响应,调整控制器参数以达到期望的性能指标,从而提高电机控制系统的效率和稳定性。 总结来说,Simulink是电机控制领域中不可或缺的工具,它以其直观的图形化界面、丰富的模块库和强大的集成能力,大大简化了控制系统的设计和分析过程。通过学习和熟练掌握Simulink,工程师能够更高效地实现电机控制方案的开发和调试。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

揭秘MySQL数据库性能优化秘籍:从基础到进阶,全面提升数据库效率

![揭秘MySQL数据库性能优化秘籍:从基础到进阶,全面提升数据库效率](https://img-blog.csdnimg.cn/img_convert/f46471563ee0bb0e644c81651ae18302.webp?x-oss-process=image/format,png) # 1. MySQL数据库性能优化概述 ### 1.1 MySQL数据库性能优化概述 MySQL数据库性能优化是指通过各种手段和技术,提升MySQL数据库的处理能力和响应速度,满足业务系统的性能需求。它涉及到数据库架构、配置、索引、SQL语句、查询缓存、事务管理等多个方面。 ### 1.2 MySQ
recommend-type

北航人工神经网络基础复习

北航的人工神经网络基础复习通常会涵盖以下几个核心主题: 1. **神经元模型**:理解生物神经元如何工作,并将其简化为计算单元(如Sigmoid函数、ReLU等),学习输入、权值、阈值和输出的关系。 2. **神经网络结构**:包括前馈神经网络(FFNN)、卷积神经网络(CNN)、循环神经网络(RNN)和深度学习网络(如深度信念网络、长短时记忆网络等)的基本架构。 3. **激活函数**:不同类型的激活函数的作用,如线性、sigmoid、tanh、ReLU及其变种,以及它们在不同层中的选择原则。 4. **权重初始化和优化算法**:如随机初始化、Xavier或He初始化,梯度下降、随机
recommend-type

电子警察:功能、结构与抓拍原理详解

电子警察产品功能、结构及抓拍原理.pptx 是一份关于电子警察系统详细介绍的资料,它涵盖了电子警察的基本概念、功能分类、工作原理以及抓拍流程。以下是详细内容: 1. 电子警察定义: 电子警察是一种先进的交通监控设备,主要用于记录城市十字路口的违章行为,为公安交通管理部门提供准确的执法证据。它们能够实现无需人工干预的情况下,对违章车辆进行实时监控和记录,包括全景视频拍摄和车牌识别。 2. 系统架构: - 硬件框架:包括交通信号检测器、车辆检测器、抓拍单元和终端服务器等组成部分,构成完整的电子警察网络。 - 软件框架:分为软件功能模块,如违章车辆识别、数据处理、上传和存储等。 3. 功能分类: - 按照应用场景分类:闯红灯电子警察、超速电子警察、卡口型电子警察、禁左电子警察和逆行电子警察等。 - 按照检测方式分类:感应线圈检测、视频检测、雷达测速、红外线检测、压电感应和地磁感应等。 4. 抓拍原理: - 信号触发:当交通信号检测器显示红灯时,车检器检测到车辆进入线圈,触发抓拍。 - 违章过程记录:从车辆刚进入第一个线圈开始,每一步都进行高清图片采集,如车辆压线、完全越过停止线等阶段。 - 抓拍流程:抓拍单元根据光线条件决定是否开启闪光灯,然后捕获并处理图片,最终上传至中心机房。 5. 闯红灯抓拍过程: - 第一张图片:车辆进入第一个线圈但未越过停止线,记录车辆即将闯红灯的状态。 - 第二张图片:车辆压在线圈上,捕捉车辆违法行为的整个过程。 - 第三张图片:车辆越过停止线后,记录违章完成后的场景,作为证据。 这份PPT详细介绍了电子警察如何通过科技手段维护道路交通秩序,展示了其在提高城市交通管理效率和规范性方面的重要作用。了解这些原理和技术细节,有助于我们更好地理解电子警察在现代交通监控体系中的核心位置。
recommend-type

"互动学习:行动中的多样性与论文攻读经历"

多样性她- 事实上SCI NCES你的时间表ECOLEDO C Tora SC和NCESPOUR l’Ingén学习互动,互动学习以行动为中心的强化学习学会互动,互动学习,以行动为中心的强化学习计算机科学博士论文于2021年9月28日在Villeneuve d'Asq公开支持马修·瑟林评审团主席法布里斯·勒菲弗尔阿维尼翁大学教授论文指导奥利维尔·皮耶昆谷歌研究教授:智囊团论文联合主任菲利普·普雷教授,大学。里尔/CRISTAL/因里亚报告员奥利维耶·西格德索邦大学报告员卢多维奇·德诺耶教授,Facebook /索邦大学审查员越南圣迈IMT Atlantic高级讲师邀请弗洛里安·斯特鲁布博士,Deepmind对于那些及时看到自己错误的人...3谢谢你首先,我要感谢我的两位博士生导师Olivier和Philippe。奥利维尔,"站在巨人的肩膀上"这句话对你来说完全有意义了。从科学上讲,你知道在这篇论文的(许多)错误中,你是我可以依
recommend-type

揭秘STM32单片机电源管理架构:深入理解电源管理机制

![揭秘STM32单片机电源管理架构:深入理解电源管理机制](https://img-blog.csdnimg.cn/img_convert/403cd6868df28660a2f4186c1dc33edf.png) # 1. STM32单片机电源管理概述** STM32单片机集成了先进的电源管理功能,可实现低功耗操作和灵活的电源管理。本概述将介绍STM32单片机电源管理体系结构和机制的基本概念,为进一步深入探讨提供基础。 电源管理模块(PMU)是STM32单片机的核心电源管理组件,负责配置和控制电源域和时钟。电源域管理允许隔离和控制不同的功能模块,以优化功耗。时钟管理提供灵活的时钟配置,
recommend-type

用Python求齐次线性方程组的通解

在Python中,我们可以使用NumPy库来求解齐次线性方程组。齐次线性方程组是指所有方程右边都是0的形式,通常表示为: \[ A\mathbf{x} = \mathbf{0} \] 其中 \( A \) 是一个矩阵,\( \mathbf{x} \) 是未知数向量。 NumPy中的`linalg.solve()`函数或`linalg.inv()`函数可以直接用来求解系数矩阵 \( A \) 的逆,然后乘以零矩阵得到解。但是,对于非奇异方阵(即行列式不为零的方阵),这可能会导致错误,因为逆矩阵不适用。对于齐次方程组,我们应该使用`linalg.null_space()`或`linalg.e
recommend-type

TESSY 4.1 英文用户手册:Razorcat Development GmbH

"TESSY-UserManual-41.pdf 是Tessy 4.1版本的英文原版用户手册,由Razorcat Development GmbH出版。手册涵盖了软件的安装、使用和功能介绍等内容,并对可能的风险和责任排除进行了声明。特别感谢Frank Büchner对TESSY的贡献和对功能特性的突出展示。" TESSY是一款专业的自动化测试工具,主要用于嵌入式系统和实时操作系统的软件测试。在Tessy 4.1版本的手册中,用户可以找到以下关键知识点: 1. **软件介绍**:TESSY是Razorcat Development GmbH开发的一款强大的软件测试平台,专为嵌入式系统提供单元测试、集成测试和系统测试解决方案。 2. **安装指南**:手册会详细指导用户如何正确安装TESSY,包括系统需求、安装步骤和可能遇到的问题及解决方法。 3. **使用方法**:内容涵盖了如何使用TESSY进行测试用例设计、代码覆盖率分析、测试执行、错误报告以及结果分析。用户将学习到如何创建和管理测试项目,设置测试环境,以及如何使用TESSY的接口与目标系统交互。 4. **功能特性**:手册会详细介绍TESSY的各种功能,如动态链接库的测试、实时操作系统API的模拟、断言和故障注入等,以帮助用户充分利用该工具进行高效测试。 5. **风险与责任**:Razorcat Development GmbH明确指出,不承担因软件不当安装或使用,或未遵循手册中的操作指南导致的任何损害责任。这强调了正确使用和遵循操作说明的重要性。 6. **感谢与贡献**:手册特别提到Frank Büchner对TESSY的贡献,他的应用笔记和公开出版物为手册提供了很多有价值的内容,帮助用户更好地理解和使用TESSY。 7. **内容目录**:手册包含了详细的目录,方便用户快速查找和定位所需信息,如预览、关于TESSY的介绍、如何使用手册等章节,确保用户能够系统地学习和参考。 通过阅读和理解这份手册,用户不仅可以掌握TESSY的基本操作,还能深入了解如何利用其高级特性进行复杂测试场景的处理,从而提升软件质量保证的效率和准确性。
recommend-type

关系数据表示学习

关系数据卢多维奇·多斯桑托斯引用此版本:卢多维奇·多斯桑托斯。关系数据的表示学习机器学习[cs.LG]。皮埃尔和玛丽·居里大学-巴黎第六大学,2017年。英语。NNT:2017PA066480。电话:01803188HAL ID:电话:01803188https://theses.hal.science/tel-01803188提交日期:2018年HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaireUNIVERSITY PIERRE和 MARIE CURIE计算机科学、电信和电子学博士学院(巴黎)巴黎6号计算机科学实验室D八角形T HESIS关系数据表示学习作者:Ludovic DOS SAntos主管:Patrick GALLINARI联合主管:本杰明·P·伊沃瓦斯基为满足计算机科学博士学位的要求而提交的论文评审团成员:先生蒂埃里·A·退休记者先生尤尼斯·B·恩