module aa(clk,sel,rst_n,seg,Q); input clk,rst_n,Q; output reg [2:0]sel; output reg [6:0]seg; reg [25:0]cnt; reg clk_n; always @(posedge clk_n) begin if(rst_n==0) sel <= 3'd0; else begin if(sel < 3'd6) sel <= sel+1'b1; else sel <= 3'd0; end end always @(posedge clk) begin if(cnt==26'd99_999) begin cnt <= 0; clk_n <= ~clk_n; end else cnt <= cnt+1'b1; end always @(Q or sel) begin if(Q==0) seg <= 7'b1000000; else case(sel) 3'b000 : seg <= 7'b1111001; 3'b001 : seg <= 7'b0100100; 3'b010 : seg <= 7'b0110000; 3'b011 : seg <= 7'b0011001; 3'b100 : seg <= 7'b0010010; 3'b101 : seg <= 7'b0000010; default seg <=7'b1111111; endcase end endmodule

时间: 2024-04-04 07:31:07 浏览: 15
这是一个 Verilog HDL 代码的模块,包含了一个数字显示器的驱动逻辑。模块名为 "aa",包含了 5 个输入输出端口,分别是时钟 clk、选择信号 sel、复位信号 rst_n、数码管显示端口 seg 和输入端口 Q。其中,sel 和 seg 用于控制数码管的显示,clk 为时钟输入,rst_n 为复位信号输入,Q 为输入信号输入。整个模块包含三个 always 块,分别对应时钟、选择信号和输入信号的控制逻辑。其中,时钟块用于计时,选择信号块用于控制数码管的选择,输入信号块用于控制数码管的显示。
相关问题

程序源代码】(加注释)module top(clk,rst_n,seg,sel); input clk,rst_n;//50MHZ系统时钟 output [7:0] seg; output [2:0] sel; wire clk_r; wire [3:0] num; //例化模块 speed speed( .clk(clk),.rst_n(rst_n),.clk_r(clk_r) ); sel_det sel_det( .clk_r(clk_r),.rst_n(rst_n),.sel(sel)); num_det num_det(.clk_r(clk_r),.rst_n(rst_n),.num(num) ); seg_num seg_num( .num(num),.seg(seg) ); endmodule // module speed(clk,rst_n,clk_r); input clk,rst_n; output clk_r;//50MHZ系统时钟 reg [23:0] cnt; reg clk_r; always @(posedge clk or negedge rst_n) begin if (!rst_n) begin cnt=0; clk_r=0; end else if (cnt==5) begin cnt=0; clk_r=~clk_r; end else cnt=cnt+1; end endmodule

这是一个 Verilog 代码示例,包含了三个模块,下面是代码的详细解释和注释: ``` module top(clk, rst_n, seg, sel); input clk, rst_n; // 输入信号 clk 和 rst_n output [7:0] seg; // 输出信号 seg,7 个七段数码管的显示 output [2:0] sel; // 输出信号 sel,3 个数码管的选择信号 wire clk_r; // 时钟信号 clk_r,用于控制显示的速度 // 实例化三个模块 speed speed(.clk(clk), .rst_n(rst_n), .clk_r(clk_r)); sel_det sel_det(.clk_r(clk_r), .rst_n(rst_n), .sel(sel)); num_det num_det(.clk_r(clk_r), .rst_n(rst_n), .num(num)); seg_num seg_num(.num(num), .seg(seg)); endmodule // 模块 speed,用于控制时钟的频率 module speed(clk, rst_n, clk_r); input clk, rst_n; // 输入信号 clk 和 rst_n output clk_r; // 输出时钟信号 clk_r reg [23:0] cnt; // 计数器 cnt,用于计算时钟周期数 reg clk_r; // 时钟信号 clk_r always @(posedge clk or negedge rst_n) begin if (!rst_n) begin // 如果复位信号为低电平,计数器和时钟信号都清零 cnt <= 0; clk_r <= 0; end else if (cnt == 5) begin // 如果计数器达到 5,时钟信号取反,计数器清零 cnt <= 0; clk_r <= ~clk_r; end else begin // 否则计数器加 1 cnt <= cnt + 1; end end endmodule // 模块 sel_det,用于生成数码管选择信号 module sel_det(clk_r, rst_n, sel); input clk_r, rst_n; // 输入时钟信号 clk_r 和复位信号 rst_n output [2:0] sel; // 输出选择信号 sel reg [1:0] cnt; // 计数器 cnt,用于计算选择信号 always @(posedge clk_r or negedge rst_n) begin if (!rst_n) begin // 如果复位信号为低电平,计数器和选择信号都清零 cnt <= 0; sel <= 3'b000; end else if (cnt == 2) begin // 如果计数器达到 2,选择信号加 1,计数器清零 cnt <= 0; sel <= sel + 1; end else begin // 否则计数器加 1 cnt <= cnt + 1; end end endmodule // 模块 num_det,用于生成数码管显示的数字 module num_det(clk_r, rst_n, num); input clk_r, rst_n; // 输入时钟信号 clk_r 和复位信号 rst_n output [3:0] num; // 输出数字 num,4 个二进制位 reg [3:0] cnt; // 计数器 cnt,用于计算数字 always @(posedge clk_r or negedge rst_n) begin if (!rst_n) begin // 如果复位信号为低电平,计数器和数字都清零 cnt <= 0; num <= 4'b0000; end else if (cnt == 3) begin // 如果计数器达到 3,数字加 1,计数器清零 cnt <= 0; num <= num + 1; end else begin // 否则计数器加 1 cnt <= cnt + 1; end end endmodule // 模块 seg_num,用于将数字转换为七段数码管的显示信号 module seg_num(num, seg); input [3:0] num; // 输入数字 num,4 个二进制位 output [7:0] seg; // 输出七段数码管的显示信号 seg,8 个二进制位 always @(num) begin case(num) // 根据输入数字的不同情况,生成不同的七段数码管显示信号 4'b0000: seg <= 8'b11000000; 4'b0001: seg <= 8'b11111001; 4'b0010: seg <= 8'b10100100; 4'b0011: seg <= 8'b10110000; 4'b0100: seg <= 8'b10011001; 4'b0101: seg <= 8'b10010010; 4'b0110: seg <= 8'b10000010; 4'b0111: seg <= 8'b11111000; 4'b1000: seg <= 8'b10000000; 4'b1001: seg <= 8'b10010000; 4'b1010: seg <= 8'b10001000; 4'b1011: seg <= 8'b10000011; 4'b1100: seg <= 8'b11000110; 4'b1101: seg <= 8'b10100001; 4'b1110: seg <= 8'b10000110; 4'b1111: seg <= 8'b10001110; default: seg <= 8'b11111111; // 如果不是有效数字,显示全灭 endcase end endmodule ``` 这个代码实现了一个简单的数字计数器,使用一个 4 位计数器控制数码管显示的数字,一个 2 位计数器控制数码管的选择,一个 24 位计数器控制时钟的频率,实现了一个简单的流水灯效果。其中,每个模块都有自己的功能,通过不同的输入和输出信号连接在一起,实现了一个完整的数字计数器。

module seven_tube(clk, rst_n, data_in, sel, seg); input clk; input rst_n; input [23:0] data_in; //6个数码管显示:24位数据 output [2:0] sel; //数码管位选信号 output [7:0] seg; //数码管段选信号 wire clk_1khz; freq freq_dut( .clk(clk), .rst_n(rst_n), .clk_out(clk_1khz) ); seg_ctrl_v2 seg_ctrl_v2_dut( .clk_1khz(clk_1khz), .rst_n(rst_n), .data_in(data_in), .sel(sel), .seg(seg) ); endmodule

这段Verilog代码实现了一个七段数码管模块,用于显示数字。模块接收时钟信号`clk`、复位信号`rst_n`、24位的数据信号`data_in`,以及输出位选信号`sel`和段选信号`seg`。 首先,代码中实例化了一个频率分频模块`freq_dut`,将输入时钟信号`clk`和复位信号`rst_n`连接到该模块,并通过输出信号`clk_out`获得1kHz的时钟信号`clk_1khz`。这个1kHz的时钟信号用于驱动七段数码管的刷新。 接下来,代码实例化了一个`seg_ctrl_v2`模块,将1kHz的时钟信号`clk_1khz`、复位信号`rst_n`、24位的数据信号`data_in`以及输出的位选信号`sel`和段选信号`seg`连接到该模块。这个模块将根据输入的数据信号控制七段数码管的显示。 这段代码是一个简单的七段数码管模块的实现,你可以根据具体的需求进行修改和扩展。

相关推荐

module seg_decode( input wire clk, input wire rst_n, input wire [4:0] seg_value_1, input wire [4:0] seg_value_2, output reg [5:0] sel, output reg [7:0] seg ); parameter CNT_20US = 10'd999; reg [3:0] number; wire [2:0] change_l; wire [2:0] change_h; reg [9:0] cnt; //计时模块 always@(posedge clk or negedge rst_n)begin if(!rst_n)begin cnt <= 10'd0; end else if(cnt == CNT_20US)begin cnt <= 10'd0; end else begin cnt <= cnt + 10'd1; end end always @(posedge clk or negedge rst_n) begin if(!rst_n)begin sel <= 6'b011111; end else if(cnt == CNT_20US)begin sel <= {sel[0],sel[5:1]}; end else begin sel <= sel; end end always @(posedge clk or negedge rst_n) begin if(!rst_n)begin number <= 4'd0; end else begin case(sel) 6'b011111: number <= seg_value_1 % 10; 6'b101111: number <= seg_value_1 / 10; 6'b110111: number <= seg_value_2 % 10; 6'b111011: number <= seg_value_2 / 10; 6'b111101: begin if(seg_value_2 < seg_value_1) number <= 4'd15; else number <= change_l; end 6'b111110: begin if(seg_value_2 < seg_value_1) number <= 4'd14; else number <= change_h; end default:number <= 4'd0; endcase end end always @(posedge clk or negedge rst_n) begin if(!rst_n)begin seg <= 8'b1100_0000; end else begin case(number) 4'd0: seg <= 8'b1100_0000; 4'd1: seg <= 8'b1111_1001; 4'd2: seg <= 8'b1010_0100; 4'd3: seg <= 8'b1011_0000; 4'd4: seg <= 8'b1001_1001; 4'd5: seg <= 8'b1001_0010; 4'd14: seg <= 8'b1000_0110; 4'd15: seg <= 8'b1100_1110; default:seg <= 8'b1100_0000; endcase end end assign change_l = (seg_value_2 - seg_value_1) % 10; assign change_h = (seg_value_2 - seg_value_1) / 10; sel_drive sel_drive_u ( .clk (clk), .rst_n (rst_n), .sel_2 () ); endmodule

讲下面代码分部分讲解//数码管显示 module seg_driver( input clk , input rst_n , input [31:0]data,//待显示的数据 output wire[7:0] sel , output wire[7:0] seg ); //wire [31:0]data; // assign dig_seg = 8'd0; // assign dig_sel = 1'b0; reg [7:0] dig_sel; reg [7:0] dig_seg; localparam NUM_0 = 8'hC0, NUM_1 = 8'hF9, NUM_2 = 8'hA4, NUM_3 = 8'hB0, NUM_4 = 8'h99, NUM_5 = 8'h92, NUM_6 = 8'h82, NUM_7 = 8'hF8, NUM_8 = 8'h80, NUM_9 = 8'h90, NUM_A = 8'h88, NUM_B = 8'h83, NUM_C = 8'hC6, NUM_D = 8'hA1, NUM_E = 8'h86, NUM_F = 8'h8E, LIT_ALL = 8'h00, BLC_ALL = 8'hFF; parameter CNT_REF = 25'd1000; reg [9:0] cnt_20us; //20us计数器 reg [3:0] data_tmp; //用于取出不同位选的显示数据 // assign data = 32'hABCD_4413; //描述位选信号切换 //描述刷新计数器 always@(posedge clk or negedge rst_n)begin if(!rst_n)begin cnt_20us <= 25'd0; end else if(cnt_20us >= CNT_REF - 25'd1)begin cnt_20us <= 25'd0; end else begin cnt_20us <= cnt_20us + 25'd1; end end always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_sel <= 8'hfe;//8'b1111_1110 end else if(cnt_20us >= CNT_REF - 25'd1)begin dig_sel <= {dig_sel[6:0],dig_sel[7]}; end else begin dig_sel <= dig_sel; end end assign sel = dig_sel; //段选信号描述 always@(posedge clk or negedge rst_n)begin if(!rst_n)begin data_tmp <= 4'd0; end else begin case(sel) 8'b1111_1110:data_tmp <= data[ 3-:4]; 8'b1111_1101:data_tmp <= data[ 7-:4]; 8'b1111_1011:data_tmp <= data[11-:4]; 8'b1111_0111:data_tmp <= data[15-:4]; 8'b1110_1111:data_tmp <= data[19-:4]; 8'b1101_1111:data_tmp <= data[23-:4]; 8'b1011_1111:data_tmp <= data[27-:4]; 8'b0111_1111:data_tmp <= data[31-:4]; default: data_tmp <= 4'hF; endcase end end always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_seg <= BLC_ALL; end else begin case(data_tmp) 4'h0 : dig_seg <= NUM_0; 4'h1 : dig_seg <= NUM_1; 4'h2 : dig_seg <= NUM_2; 4'h3 : dig_seg <= NUM_3; 4'h4 : dig_seg <= NUM_4; 4'h5 : dig_seg <= NUM_5; 4'h6 : dig_seg <= NUM_6; 4'h7 : dig_seg <= NUM_7; 4'h8 : dig_seg <= NUM_8; 4'h9 : dig_seg <= NUM_9; 4'hA : dig_seg <= NUM_A; 4'hB : dig_seg <= NUM_B; 4'hC : dig_seg <= NUM_C; 4'hD : dig_seg <= NUM_D; 4'hE : dig_seg <= NUM_E; 4'hF : dig_seg <= NUM_F; default: ; endcase end end assign seg = dig_seg ; endmodule

module xianshiqi( input clk , input rst_n , input [23:0]data,//待显示的数据 output wire[7:0] sel , output wire[7:0] seg ); //wire [24:0]data; // assign dig_seg = 8'd0; // assign dig_sel = 1'b0; reg [7:0] dig_sel; reg [7:0] dig_seg; localparam NUM_0 = 8'hC0, NUM_1 = 8'hF9, NUM_2 = 8'hA4, NUM_3 = 8'hB0, NUM_4 = 8'h99, NUM_5 = 8'h92, NUM_6 = 8'h82, NUM_7 = 8'hF8, NUM_8 = 8'h80, NUM_9 = 8'h90, NUM_A = 8'h88, NUM_B = 8'h83, NUM_C = 8'hC6, NUM_D = 8'hA1, NUM_E = 8'h86, NUM_F = 8'h8E, LIT_ALL = 8'h00, BLC_ALL = 8'hFF; parameter CNT_REF = 25'd1000; reg [9:0] cnt_20us; //20us计数器 reg [3:0] data_tmp; //用于取出不同位选的显示数据 // assign data = 32'hABCD_4413; //描述位选信号切换 //描述刷新计数器 always@(posedge clk or negedge rst_n)begin if(!rst_n)begin cnt_20us <= 25'd0; end else if(cnt_20us >= CNT_REF - 25'd1)begin cnt_20us <= 25'd0; end else begin cnt_20us <= cnt_20us + 25'd1; end end always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_sel <= 8'hfe;//8'b1111_1110 end else if(cnt_20us >= CNT_REF - 25'd1)begin dig_sel <= {dig_sel[6:0],dig_sel[7]}; end else begin dig_sel <= dig_sel; end end assign sel = dig_sel; //段选信号描述 always@(posedge clk or negedge rst_n)begin if(!rst_n)begin data_tmp <= 4'd0; end else begin case(sel) 6'b11_1110:data_tmp <= data[ 3-:4]; 6'b11_1101:data_tmp <= data[ 7-:4]; 6'b11_1011:data_tmp <= data[11-:4]; 6'b11_0111:data_tmp <= data[15-:4]; 6'b10_1111:data_tmp <= data[19-:4]; 6'b01_1111:data_tmp <= data[23-:4]; default: data_tmp <= 4'hF; endcase end end always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_seg <= BLC_ALL; end else begin case(data_tmp) 4'h0 : dig_seg <= NUM_0; 4'h1 : dig_seg <= NUM_1; 4'h2 : dig_seg <= NUM_2; 4'h3 : dig_seg <= NUM_3; 4'h4 : dig_seg <= NUM_4; 4'h5 : dig_seg <= NUM_5; 4'h6 : dig_seg <= NUM_6; 4'h7 : dig_seg <= NUM_7; 4'h8 : dig_seg <= NUM_8; 4'h9 : dig_seg <= NUM_9; 4'hA : dig_seg <= NUM_A; 4'hB : dig_seg <= NUM_B; 4'hC : dig_seg <= NUM_C; 4'hD : dig_seg <= NUM_D; 4'hE : dig_seg <= NUM_E; 4'hF : dig_seg <= NUM_F; default: ; endcase end end assign seg = dig_seg ; endmodule

module xianshiqi( input clk , input rst_n , input [23:0]data,//待显示的数据 output wire[7:0] sel , output wire[7:0] seg ); //wire [24:0]data; // assign dig_seg = 8'd0; // assign dig_sel = 1'b0; reg [7:0] dig_sel; reg [7:0] dig_seg; localparam NUM_0 = 8'hC0, NUM_1 = 8'hF9, NUM_2 = 8'hA4, NUM_3 = 8'hB0, NUM_4 = 8'h99, NUM_5 = 8'h92, NUM_6 = 8'h82, NUM_7 = 8'hF8, NUM_8 = 8'h80, NUM_9 = 8'h90, NUM_A = 8'h88, NUM_B = 8'h83, NUM_C = 8'hC6, NUM_D = 8'hA1, NUM_E = 8'h86, NUM_F = 8'h8E, LIT_ALL = 8'h00, BLC_ALL = 8'hFF; parameter CNT_REF = 25'd1000; reg [9:0] cnt_20us; //20us计数器 reg [3:0] data_tmp; //用于取出不同位选的显示数据 // assign data = 32'hABCD_4413; //描述位选信号切换 //描述刷新计数器 always@(posedge clk or negedge rst_n)begin if(!rst_n)begin cnt_20us <= 25'd0; end else if(cnt_20us >= CNT_REF - 25'd1)begin cnt_20us <= 25'd0; end else begin cnt_20us <= cnt_20us + 25'd1; end end always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_sel <= 8'hfe;//8'b1111_1110 end else if(cnt_20us >= CNT_REF - 25'd1)begin dig_sel <= {dig_sel[6:0],dig_sel[7]}; end else begin dig_sel <= dig_sel; end end assign sel = dig_sel; //段选信号描述 always@(posedge clk or negedge rst_n)begin if(!rst_n)begin data_tmp <= 4'd0; end else begin case(sel) 6'b11_1110:data_tmp <= data[ 3-:4]; 6'b11_1101:data_tmp <= data[ 7-:4]; 6'b11_1011:data_tmp <= data[11-:4]; 6'b11_0111:data_tmp <= data[15-:4]; 6'b10_1111:data_tmp <= data[19-:4]; 6'b01_1111:data_tmp <= data[23-:4]; default: data_tmp <= 4'hF; endcase end end always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_seg <= BLC_ALL; end else begin case(data_tmp) 4'h0 : dig_seg <= NUM_0; 4'h1 : dig_seg <= NUM_1; 4'h2 : dig_seg <= NUM_2; 4'h3 : dig_seg <= NUM_3; 4'h4 : dig_seg <= NUM_4; 4'h5 : dig_seg <= NUM_5; 4'h6 : dig_seg <= NUM_6; 4'h7 : dig_seg <= NUM_7; 4'h8 : dig_seg <= NUM_8; 4'h9 : dig_seg <= NUM_9; 4'hA : dig_seg <= NUM_A; 4'hB : dig_seg <= NUM_B; 4'hC : dig_seg <= NUM_C; 4'hD : dig_seg <= NUM_D; 4'hE : dig_seg <= NUM_E; 4'hF : dig_seg <= NUM_F; default: ; endcase end end assign seg = dig_seg ; endmodule

//数码管显示 module seg_driver( input clk , input rst_n , input [31:0]data,//待显示的数据 output wire[7:0] sel , output wire[7:0] seg ); //wire [31:0]data; // assign dig_seg = 8'd0; // assign dig_sel = 1'b0; reg [7:0] dig_sel; reg [7:0] dig_seg; localparam NUM_0 = 8'hC0, NUM_1 = 8'hF9, NUM_2 = 8'hA4, NUM_3 = 8'hB0, NUM_4 = 8'h99, NUM_5 = 8'h92, NUM_6 = 8'h82, NUM_7 = 8'hF8, NUM_8 = 8'h80, NUM_9 = 8'h90, NUM_A = 8'h88, NUM_B = 8'h83, NUM_C = 8'hC6, NUM_D = 8'hA1, NUM_E = 8'h86, NUM_F = 8'h8E, LIT_ALL = 8'h00, BLC_ALL = 8'hFF; parameter CNT_REF = 25'd1000; reg [9:0] cnt_20us; //20us计数器 reg [3:0] data_tmp; //用于取出不同位选的显示数据 // assign data = 32'hABCD_4413; //描述位选信号切换 //描述刷新计数器 always@(posedge clk or negedge rst_n)begin if(!rst_n)begin cnt_20us <= 25'd0; end else if(cnt_20us >= CNT_REF - 25'd1)begin cnt_20us <= 25'd0; end else begin cnt_20us <= cnt_20us + 25'd1; end end always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_sel <= 8'hfe;//8'b1111_1110 end else if(cnt_20us >= CNT_REF - 25'd1)begin dig_sel <= {dig_sel[6:0],dig_sel[7]}; end else begin dig_sel <= dig_sel; end end assign sel = dig_sel; //段选信号描述 always@(posedge clk or negedge rst_n)begin if(!rst_n)begin data_tmp <= 4'd0; end else begin case(sel) 8'b1111_1110:data_tmp <= data[ 3-:4]; 8'b1111_1101:data_tmp <= data[ 7-:4]; 8'b1111_1011:data_tmp <= data[11-:4]; 8'b1111_0111:data_tmp <= data[15-:4]; 8'b1110_1111:data_tmp <= data[19-:4]; 8'b1101_1111:data_tmp <= data[23-:4]; 8'b1011_1111:data_tmp <= data[27-:4]; 8'b0111_1111:data_tmp <= data[31-:4]; default: data_tmp <= 4'hF; endcase end end always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_seg <= BLC_ALL; end else begin case(data_tmp) 4'h0 : dig_seg <= NUM_0; 4'h1 : dig_seg <= NUM_1; 4'h2 : dig_seg <= NUM_2; 4'h3 : dig_seg <= NUM_3; 4'h4 : dig_seg <= NUM_4; 4'h5 : dig_seg <= NUM_5; 4'h6 : dig_seg <= NUM_6; 4'h7 : dig_seg <= NUM_7; 4'h8 : dig_seg <= NUM_8; 4'h9 : dig_seg <= NUM_9; 4'hA : dig_seg <= NUM_A; 4'hB : dig_seg <= NUM_B; 4'hC : dig_seg <= NUM_C; 4'hD : dig_seg <= NUM_D; 4'hE : dig_seg <= NUM_E; 4'hF : dig_seg <= NUM_F; default: ; endcase end end assign seg = dig_seg ; endmodule

写出以下代码的testbench module decode8(clk_50m,rst_n,c,seg,sel,out,led); input[4:0] c; input clk_50m,rst_n; output reg[6:0]out;//共阳,0点亮 output reg[7:0]seg;//共阴,1点亮 output reg[2:0]sel;//位选 output reg[3:0] led; reg[31:0] timer; reg clk_1hz; always@(posedge clk_50m) begin if(~rst_n) begin timer<=0;clk_1hz<=0;end else if(timer==32'd24)//仿真时可调小 begin timer<=0;clk_1hz<=~clk_1hz;end else begin timer<=timer+1;clk_1hz<=clk_1hz;end end always@(c) if(c[4]==0) begin case(c) 5'b00000:begin led=4'b0000; out =7'b1000000; end //0 5'b00001:begin led=4'b0001; out =7'b1111001; end //1 5'b00010:begin led=4'b0010; out =7'b0100100; end //2 5'b00011:begin led=4'b0011; out =7'b0110000; end //3 5'b00100:begin led=4'b0100; out =7'b0011001; end //4 5'b00101:begin led=4'b0101; out =7'b0010010; end //5 5'b00110:begin led=4'b0110; out =7'b0000010; end //6 5'b00111:begin led=4'b0111; out =7'b1111000; end //7 5'b01000:begin led=4'b1000; out =7'b0000000; end //8 5'b01001:begin led=4'b1001; out =7'b0010000; end //9 5'b01010:begin led=4'b1010; out =7'b0001000; end //A 5'b01011:begin led=4'b1011; out =7'b0000011; end //B 5'b01100:begin led=4'b1100; out =7'b1000110; end //C 5'b01101:begin led=4'b1101; out =7'b0010001; end //D 5'b01110:begin led=4'b1110; out =7'b0000110; end //E 5'b01111:begin led=4'b1111; out =7'b0001110; end //F default:begin led=4'b0000; out =7'b1111111; end endcase end else begin led =4'b0000; out =7'b1111111;end always@(posedge clk_1hz) if(c[4]==1) begin case(sel) 3'b000:begin sel =3'b001; seg =8'b01110110; end //H 3'b001:begin sel =3'b010; seg =8'b01111001; end //E 3'b010:begin sel =3'b011; seg =8'b00111000; end //L 3'b011:begin sel =3'b100; seg =8'b00111000; end //L 3'b100:begin sel =3'b101; seg =8'b00111111; end //0 default: begin sel =3'b000; seg=8'b00000000; end endcase end else seg=8'b00000000; endmodule

最新推荐

recommend-type

WX小程序源码小游戏类

WX小程序源码小游戏类提取方式是百度网盘分享地址
recommend-type

grpcio-1.47.2-cp310-cp310-musllinux_1_1_x86_64.whl

Python库是一组预先编写的代码模块,旨在帮助开发者实现特定的编程任务,无需从零开始编写代码。这些库可以包括各种功能,如数学运算、文件操作、数据分析和网络编程等。Python社区提供了大量的第三方库,如NumPy、Pandas和Requests,极大地丰富了Python的应用领域,从数据科学到Web开发。Python库的丰富性是Python成为最受欢迎的编程语言之一的关键原因之一。这些库不仅为初学者提供了快速入门的途径,而且为经验丰富的开发者提供了强大的工具,以高效率、高质量地完成复杂任务。例如,Matplotlib和Seaborn库在数据可视化领域内非常受欢迎,它们提供了广泛的工具和技术,可以创建高度定制化的图表和图形,帮助数据科学家和分析师在数据探索和结果展示中更有效地传达信息。
recommend-type

cryptography-42.0.3-cp37-abi3-musllinux_1_1_x86_64.whl

Python库是一组预先编写的代码模块,旨在帮助开发者实现特定的编程任务,无需从零开始编写代码。这些库可以包括各种功能,如数学运算、文件操作、数据分析和网络编程等。Python社区提供了大量的第三方库,如NumPy、Pandas和Requests,极大地丰富了Python的应用领域,从数据科学到Web开发。Python库的丰富性是Python成为最受欢迎的编程语言之一的关键原因之一。这些库不仅为初学者提供了快速入门的途径,而且为经验丰富的开发者提供了强大的工具,以高效率、高质量地完成复杂任务。例如,Matplotlib和Seaborn库在数据可视化领域内非常受欢迎,它们提供了广泛的工具和技术,可以创建高度定制化的图表和图形,帮助数据科学家和分析师在数据探索和结果展示中更有效地传达信息。
recommend-type

2021131528+谢镕汕.zip

2021131528+谢镕汕.zip
recommend-type

sja1301.i386.tar.gz

SQLyong 各个版本,免费下载 SQLyog是业界著名的Webyog公司出品的一款简洁高效、功能强大的图形化MySQL数据库管理工具。使用SQLyog可以快速直观地让您从世界的任何角落通过网络来维护远端的MySQL数据库。
recommend-type

zigbee-cluster-library-specification

最新的zigbee-cluster-library-specification说明文档。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

MATLAB柱状图在信号处理中的应用:可视化信号特征和频谱分析

![matlab画柱状图](https://img-blog.csdnimg.cn/3f32348f1c9c4481a6f5931993732f97.png) # 1. MATLAB柱状图概述** MATLAB柱状图是一种图形化工具,用于可视化数据中不同类别或组的分布情况。它通过绘制垂直条形来表示每个类别或组中的数据值。柱状图在信号处理中广泛用于可视化信号特征和进行频谱分析。 柱状图的优点在于其简单易懂,能够直观地展示数据分布。在信号处理中,柱状图可以帮助工程师识别信号中的模式、趋势和异常情况,从而为信号分析和处理提供有价值的见解。 # 2. 柱状图在信号处理中的应用 柱状图在信号处理
recommend-type

用Spring boot和vue写一个登录注册界面

好的,这是一个比较常见的技术问题,我可以为您提供一些参考资料和思路。首先,您可以使用Spring Boot作为后端框架来处理用户认证和注册的逻辑,比如使用Spring Security实现用户登录认证。同时,您还需要设计相应的数据模型和数据库表结构来存储用户信息。在前端方面,您可以使用Vue.js作为框架来构建登录注册页面,使用Axios来发起API请求并和后端进行交互。当然,在实现过程中,还需要考虑一些具体细节,比如数据校验、安全性和用户体验等方面。希望这些信息能够帮助到您。
recommend-type

JSBSim Reference Manual

JSBSim参考手册,其中包含JSBSim简介,JSBSim配置文件xml的编写语法,编程手册以及一些应用实例等。其中有部分内容还没有写完,估计有生之年很难看到完整版了,但是内容还是很有参考价值的。