always @(posedge clk or negedge rst) begin if(rst == 1'b0) begin pwm<=2'b00; pwm1<=2'b00; pwm2<=2'b00; pwm3<=2'b00; run <= 8'b00000000; end else if(dt < 20'd32) pwm<=2'b01; pwm1<=2'b00; pwm2<=2'b00; pwm3<=2'b00; run <= 8'b10000000; else if(dt < 20'd128) pwm<=2'b01; pwm1<=2'b00; pwm2<=2'b00; pwm3<=2'b00; run <= 8'b10100000; else if(dt < 20'd256) pwm<=2'b10; pwm1<=2'b00; pwm2<=2'b00; pwm3<=2'b00; run <= 8'b10101000; else pwm<=2'b11; pwm1<=2'b00; pwm2<=2'b00; pwm3<=2'b00; run <= 8'b10101010; end

时间: 2024-02-02 12:03:13 浏览: 17
这段代码是一个 Verilog HDL 的 always 块,使用了时钟信号 clk 和复位信号 rst。当 rst 为低电平时,pwm 、pwm1 、pwm2 、pwm3 和 run 都被赋值为 0。当 rst 为高电平时,根据 dt 的值,会对 pwm 、pwm1 、pwm2 、pwm3 和 run 进行赋值,具体赋值的逻辑如下: - 如果 dt < 32,则将 pwm 赋值为 01,pwm1 、pwm2 、pwm3 和 run 赋值为 0x80。 - 如果 32 <= dt < 128,则将 pwm 赋值为 01,pwm1 、pwm2 、pwm3 和 run 赋值为 0xA0。 - 如果 128 <= dt < 256,则将 pwm 赋值为 10,pwm1 、pwm2 、pwm3 和 run 赋值为 0xAA。 - 如果 dt >= 256,则将 pwm 赋值为 11,pwm1 、pwm2 、pwm3 和 run 赋值为 0xAA。
相关问题

下列代码有什么错误:always @(posedge clk or negedge rst) begin if(rst == 1'b0) begin pwm<=2'b00; pwm1<=2'b00; pwm2<=2'b00; pwm3<=2'b00; run <= 8'b00000000; end else if(dt < 20'd32) pwm<=2'b01; pwm1<=2'b00; pwm2<=2'b00; pwm3<=2'b00; run <= 8'b10000000; else if(dt < 20'd128) pwm<=2'b01; pwm1<=2'b00; pwm2<=2'b00; pwm3<=2'b00; run <= 8'b10100000; else if(dt < 20'd256) pwm<=2'b10; pwm1<=2'b00; pwm2<=2'b00; pwm3<=2'b00; run <= 8'b10101000; else pwm<=2'b11; pwm1<=2'b00; pwm2<=2'b00; pwm3<=2'b00; run <= 8'b10101010; end

这段代码有一个常见的错误:在第 10 行到第 22 行的 if 语句中,每一个 if 语句后面只有一行代码被执行,其他的代码都没有被包含在 begin-end 块中。 正确的做法是,在每一个 if 语句后面添加一个 begin-end 块,例如: ``` else if(dt < 20'd32) begin pwm<=2'b01; pwm1<=2'b00; pwm2<=2'b00; pwm3<=2'b00; run <= 8'b10000000; end ``` 这样才能保证 if 语句中的所有代码都被正确地执行。

module wheel( clk, rst, dt, dt1, dt2, run, pwm, pwm1, pwm2, pwm3); //---Ports declearation: generated by Robei--- input clk; input rst; input [19:0] dt; input [19:0] dt1; input [19:0] dt2; output [7:0] run; output [1:0] pwm; output [1:0] pwm1; output [1:0] pwm2; output [1:0] pwm3; wire clk; wire rst; wire [19:0] dt; wire [19:0] dt1; wire [19:0] dt2; reg [7:0] run; reg [1:0] pwm; reg [1:0] pwm1; reg [1:0] pwm2; reg [1:0] pwm3; //----Code starts here: integrated by Robei----- always @(posedge clk or negedge rst) begin if(rst == 1'b0) begin pwm<=2'b00; pwm1<=2'b00; pwm2<=2'b00; pwm3<=2'b00; run <= 8'b00000000; end else if(dt < 20'd32)begin pwm<=2'b01; pwm1<=2'b00; pwm2<=2'b00; pwm3<=2'b00; run <= 8'b10000000; end else if(dt < 20'd128)begin pwm<=2'b10; pwm1<=2'b00; pwm2<=2'b00; pwm3<=2'b00; run <= 8'b10100000; end else if(dt < 20'd256)begin pwm<=2'b10; pwm1<=2'b00; pwm2<=2'b00; pwm3<=2'b00; run <= 8'b10101000; end else pwm<=2'b11; pwm1<=2'b00; pwm2<=2'b00; pwm3<=2'b00; run <= 8'b10101010; end endmodule //wheel

这是一个 Verilog 代码模块,名为 "wheel"。该模块的功能是控制一个车轮的行为,它有许多输入和输出端口。输入端口包括时钟信号 clk、复位信号 rst、三个19位的数据信号 dt、dt1 和 dt2。输出端口包括一个8位的状态信号 run 和四个2位的 PWM 信号 pwm、pwm1、pwm2 和 pwm3。这个模块的代码中使用了 always 块,它在时钟信号的上升沿或下降沿触发,并在复位信号为低电平时执行。在代码的 if-else 语句块中,根据输入的数据信号 dt,分别对 PWM 信号和状态信号进行不同的赋值。总体来说,这个模块的功能是控制车轮的转速和方向,根据输入的数据信号 dt,输出相应的 PWM 信号和状态信号 run。

相关推荐

module test_top( output reg pin98_te3, //codein output reg pin99_te4, //cmi_ceded output reg pin100_te5, //cmi_decoded input wire pin103_te6, //system clk 7.68Mhz input wire rst //reset ); reg [3:0] counter; reg clk1; reg clk2; always@(posedge pin103_te6 or negedge rst) begin if(!rst) counter <= 4'b0; else if(counter == 4'b1111) begin counter <= 4'b0; end else if(pin103_te6) begin counter <= counter+1; end end always@(posedge pin103_te6 or negedge rst) begin if(!rst) clk1 <= 0; else if(counter[3] == 0) clk1 <= 1'b0; else if(counter[3] == 1) clk1 <= 1'b1; end always@(posedge pin103_te6 or negedge rst) begin if(!rst) clk2 <= 0; else if(counter[2] == 0) clk2 <= 1'b1; else if(counter[2] == 1) clk2 <= 1'b0; end reg [3:0] num; always@(posedge clk1 or negedge rst) begin if(!rst) begin num <= 4'b0; end else if(num == 4'b1111) begin num <= 4'b0; end else num <= num+1; case(num) 4'b0110:pin98_te3 <=1; 4'b0111:pin98_te3 <=1; 4'b1000:pin98_te3 <=1; 4'b1001:pin98_te3 <=1; 4'b1010:pin98_te3 <=0; 4'b1011:pin98_te3 <=1; 4'b1100:pin98_te3 <=0; 4'b1101:pin98_te3 <=0; 4'b1110:pin98_te3 <=0; 4'b1111:pin98_te3 <=1; default:pin98_te3 <=0; endcase end reg [1:0] cmi_reg; reg flag =0; always@(posedge clk1) begin if(pin98_te3 == 0) cmi_reg <= 2'b01; else if(pin98_te3 == 1) begin if(flag == 0) begin cmi_reg <= 2'b00; flag <=~flag; end else if(flag == 1) begin cmi_reg <= 2'b11; flag <=~flag; end end end reg flag0 = 1'b0; always@(posedge clk2) begin flag0 <= flag0 + 1; if(flag0 == 1) pin99_te4 <= cmi_reg[0]; else if(flag0 == 0) pin99_te4<=cmi_reg[1]; end always@(posedge clk2) begin if(cmi_reg == 2'b01) pin100_te5<=0; else if(cmi_reg==2'b00 || cmi_reg==2'b11) pin100_te5<=1; end endmodule代码作用

问题在哪?always @ (posedge clk or negedge rst_n) begin if(!rst_n) begin bps_start_r <= 1'bz;//波特率时钟启动信号 tx_en <= 1'b0; tx_data <= 1'b0; count <= 1'b0; end else if(start) begin //接收数据完毕,准备把接收到的数据发回去 bps_start_r <= 1'b1;//波特率时钟状态为1 case(count) 1'b00:begin tx_data <= data[2'd0]; count <= 1'b01; end 1'b01:begin tx_data <= data[2'd1]; count <= 1'b10; end 1'b10:begin//不做这个? tx_data <= data[2'd2]; count <= 1'b00; end default:count <= 1'b00; endcase tx_en <= 1'b1; //进入发送数据状态中 end else if(num==8'd11) begin //数据发送完成,复位 bps_start_r <= 1'b0; tx_en <= 1'b0; end end assign bps_start = bps_start_r; //--------------------------------------------------------- reg rs232_tx_r; always @ (posedge clk or negedge rst_n) begin if(!rst_n) begin num <= 8'd0; rs232_tx_r <= 1'b1; end else if(tx_en)//发送数据使能信号 begin if(clk_bps) begin num <= num+1'b1; case (num) 8'd0: rs232_tx_r <= 1'b0; //发送起始位 8'd1: rs232_tx_r <= tx_data[0] ; //发送第0bit 8'd2: rs232_tx_r <= tx_data[1] ; //发送第1bit 8'd3: rs232_tx_r <= tx_data[2] ; //发送第2bit 8'd4: rs232_tx_r <= tx_data[3] ; //发送第3bit 8'd5: rs232_tx_r <= tx_data[4] ; //发送第4bit 8'd6: rs232_tx_r <= tx_data[5] ; //发送第5bit 8'd7: rs232_tx_r <= tx_data[6] ; //发送第6bit 8'd8: rs232_tx_r <= tx_data[7] ; //发送第7bit 8'd9: rs232_tx_r <= 1'b1; //发送结束位 default: rs232_tx_r <= 1'b1; endcase end else if(num==8'd11) num <= 8'd0; //复位 end end assign rs232_tx = rs232_tx_r;

【测试结果】//按键控制LED灯 module key_led(clk,key,rst,led); input clk;//系统时钟50MHz input rst; input [3:0] key;//四个按键 output [3:0] led;//四个led灯 reg [3:0]led; //reg define reg [23:0] cnt; reg [1:0] led_control; //用于计数的计数器 always @ (posedge clk or negedge rst) begin if(!rst) cnt<=24'd2500000; else if(cnt<24'd2500000) cnt<=cnt+1; else cnt<=0; end //用于led灯状态的选择 always @(posedge clk or negedge rst) begin if (!rst) led_control <= 2'b00; else if(cnt == 24'd2500000) led_control <= led_control + 1'b1; else led_control <= led_control; end //识别按键,切换显示模式 always @(posedge clk or negedge rst) begin if(!rst) begin led<=4'b0000; end else if(key[0]==0) //按键1按下时,从右向左的流水灯效果 case (led_control) 2'b00 : led<=4'b1000; 2'b01 : led<=4'b0100; 2'b10 : led<=4'b0010; 2'b11 : led<=4'b0001; //led_control共2位,一直累加到11后再次累加会恢复到00,以此来达到控制效果 default : led<=4'b0000; endcase else if (key[1]==0) //按键2按下时,从左向右的流水灯效果 case (led_control) 2'b00 : led<=4'b0001; 2'b01 : led<=4'b0010; 2'b10 : led<=4'b0100; 2'b11 : led<=4'b1000; default : led<=4'b0000; endcase else if (key[2]==0) //按键3按下时,LED闪烁 case (led_control) 2'b00 : led<=4'b1111; 2'b01 : led<=4'b0000; 2'b10 : led<=4'b1111; 2'b11 : led<=4'b0000; default : led<=4'b0000; endcase else if (key[3]==0) //按键4按下时,LED全亮 led=4'b1111; else led<=4'b0000; //无按键按下时,LED熄灭 end endmodule

timescale 1n/1ps module shiyan3( input clk, input rst, output seg_pi, output [7:0] seg_data ); reg[31:0]time_cnt; reg[7:0]num_cnt; always@(posedge clk or negedge rst) begin if(rst==1'b0) begin time_cnt<=32'd0; end else if(time_cnt==32'd49_000_000) begin time_cnt<=0; if(num_cnt==8'd10) begin num_cnt<=0; end else begin num_cnt<=num_cnt+1; end end else begin time_cnt<=time_cnt+32'd1; end end reg[7:0] seg_get_data; always@(posedge clk) begin if(num_cnt==8'd0) begin seg_get_data<=8'b1100_0000; end else if(num_cnt==8'd1) begin seg_get_data<=8'b1111_1001; end else if(num_cnt==8'd2) begin seg_get_data<=8'b1010_0100; end else if(num_cnt==8'd3) begin seg_get_data<=8'b1011_0000; end else if(num_cnt==8'd4) begin seg_get_data<=8'b1001_1001; end else if(num_cnt==8'd5) begin seg_get_data<=8'b1001_0010; end else if(num_cnt==8'd6) begin seg_get_data<=8'b1000_0010; end else if(num_cnt==8'd7) begin seg_get_data<=8'b1111_1000; end else if(num_cnt==8'd8) begin seg_get_data<=8'b1000_0000; end else if(num_cnt==8'd9) begin seg_get_data<=8'b1001_0000; end end assign seg_data=seg_get_data; endmodule 上述代码只能实现一位十进制的数字时钟,参考以上代码要求根据cyclone IV E 的FPGA实验板功能,设计四位数码管显示的数字时钟;要求:数字时钟能够准确计时并显示;开机显示00;具备控制功能按键有3个:清零、暂停、计时开始。数码管片四个选接口:DIG1,DIG2,DIG3,DIG4,数码管八个段选接口:SEG0,SEG1,SEG2,SEG3,SEG4,SEG5,SEG6,SEG7,给出Verilog代码

module top_module; wsh wsh_inst(); efg efg_inst(); divider divider_inst(); assign divider_inst.dividend = wsh_inst.subdivision; assign divider_inst.divisor = efg_inst.count; endmodule module wsh (clk,rst_n,A,B,subdivision); input wire A,B; input clk; input rst_n; output reg [15:0] subdivision; reg [1:0] pre_state; reg [1:0] cur_state; always @(posedge clk or negedge rst_n) begin if(!rst_n) subdivision <=1'b0; else begin if (pre_state == 2'b00 && cur_state == 2'b01) subdivision <= subdivision + 1'b1; else if(pre_state == 2'b01 && cur_state == 2'b11) subdivision <= subdivision + 1'b1; else if(pre_state == 2'b11 && cur_state == 2'b10) subdivision <= subdivision + 1'b1; else if(pre_state == 2'b10 && cur_state == 2'b00) subdivision <= subdivision + 1'b1; else if(pre_state == 2'b00 && cur_state == 2'b10) subdivision <= subdivision - 1'b1; else if(pre_state == 2'b10 && cur_state == 2'b11) subdivision <= subdivision - 1'b1; else if(pre_state == 2'b11 && cur_state == 2'b01) subdivision <= subdivision - 1'b1; else if(pre_state == 2'b01 && cur_state == 2'b00) subdivision <= subdivision - 1'b1; end end endmodule module efg (A,B,count,clk,rst_n); input wire A; input wire B; input clk; input rst_n; output reg [15:0] count; always @(posedge clk) begin if(!rst_n) count <= 0; else begin count <= count + 1; end end endmodule module divider(clk, subdivision, count, quotient); input clk; input [15:0] subdivision; input [15:0] count; output [15:0] quotient; reg [15:0] dividend; reg [15:0] divisor; reg [15:0] quotient; integer i; always @(posedge clk) begin dividend <= subdivision; divisor <= count; quotient <= 0; for (i = 0; i < 16; i = i + 1) begin dividend <= dividend - divisor; quotient <= {quotient[14:0], dividend[15]}; dividend <= dividend << 1; end end endmodule根据所给代码写一个testbench

改写一下这段代码,使得寄存器地址支持16bit读写,现在这段是只支持8bit读写://FSM always @ (posedge clk or negedge rst) if (~rst) i2c_state<=3'b000;//idle else i2c_state<= next_i2c_state; //////////Modified on 25 november.write Address is 30H; Read Address is 31H///// always @(i2c_state or stopf or startf or cnt or sft or sadr or hf or scl_neg or cnt) case(i2c_state) 3'b000: //This state is the initial state,idle state begin if (startf)next_i2c_state<= 3 b001;//start else next_i2c_state <= i2c_state; end 3b001://This state is the device address detect & trigger begin if(stopf)next_i2c_state<=3'b000; else begin if((cnt==4'h9)&&({sft[0],hf} ==2'b00) && (scl_neg ==1'b1)&&(sadr ==sft[7:1])) next i2c_ state<=3'b010;//write: i2c adderss is 00110000 and ACK is sampled //so {sft[0],hf} is 2'b00 else if ((cnt==4'h9)&&({sft[0],hf} ==2'b10) && (scl_neg ==1'b1)&&(sadr ==sft[7:1])) next i2c_ state<=3'b011;//read:i2c adderss is 00110001 and ACK is sampled //so {sft[0],hf} is 2'b10 else if((cnt ==4'h9) && (scl_neg == 1'b1)) next_ i2c_state<=3 'b000;//when the address accepted does not match the SADR, //the state comes back else next_i2c_state<=i2c_state; end end 3'b010: //This state is the register address detect &&trigger begin if (stopf)next_i2c_state<=3'b000; else if (startf)next_i2c_state<=3'b001; else if ((cnt ==4'h9) && (scl_neg == 1'b1)) next_i2c _state<=3'b10 else next i2c_state<=i2c_state; end 3'b011: //This state is the register data read begin if (stopf)next_i2c _state<=3'b000; else if (startf) next_i2c _state<=3'b001; else next_12c_state<=i2c_state; end 3'b100: //This state is the register data write begin if (stopf)next_i2c _state<=3'b000; else if (startf) next_i2c _state<=3b001; else next_i2c_state<=i2c_state; end default://safe mode control next_i2c_state <= 3'b000; endcase

最新推荐

recommend-type

zigbee-cluster-library-specification

最新的zigbee-cluster-library-specification说明文档。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

实现实时数据湖架构:Kafka与Hive集成

![实现实时数据湖架构:Kafka与Hive集成](https://img-blog.csdnimg.cn/img_convert/10eb2e6972b3b6086286fc64c0b3ee41.jpeg) # 1. 实时数据湖架构概述** 实时数据湖是一种现代数据管理架构,它允许企业以低延迟的方式收集、存储和处理大量数据。与传统数据仓库不同,实时数据湖不依赖于预先定义的模式,而是采用灵活的架构,可以处理各种数据类型和格式。这种架构为企业提供了以下优势: - **实时洞察:**实时数据湖允许企业访问最新的数据,从而做出更明智的决策。 - **数据民主化:**实时数据湖使各种利益相关者都可
recommend-type

SQL怎么实现 数据透视表

SQL可以通过使用聚合函数和GROUP BY子句来实现数据透视表。 例如,假设有一个销售记录表,其中包含产品名称、销售日期、销售数量和销售额等信息。要创建一个按照产品名称、销售日期和销售额进行汇总的数据透视表,可以使用以下SQL语句: ``` SELECT ProductName, SaleDate, SUM(SaleQuantity) AS TotalQuantity, SUM(SaleAmount) AS TotalAmount FROM Sales GROUP BY ProductName, SaleDate; ``` 该语句将Sales表按照ProductName和SaleDat
recommend-type

JSBSim Reference Manual

JSBSim参考手册,其中包含JSBSim简介,JSBSim配置文件xml的编写语法,编程手册以及一些应用实例等。其中有部分内容还没有写完,估计有生之年很难看到完整版了,但是内容还是很有参考价值的。
recommend-type

"互动学习:行动中的多样性与论文攻读经历"

多样性她- 事实上SCI NCES你的时间表ECOLEDO C Tora SC和NCESPOUR l’Ingén学习互动,互动学习以行动为中心的强化学习学会互动,互动学习,以行动为中心的强化学习计算机科学博士论文于2021年9月28日在Villeneuve d'Asq公开支持马修·瑟林评审团主席法布里斯·勒菲弗尔阿维尼翁大学教授论文指导奥利维尔·皮耶昆谷歌研究教授:智囊团论文联合主任菲利普·普雷教授,大学。里尔/CRISTAL/因里亚报告员奥利维耶·西格德索邦大学报告员卢多维奇·德诺耶教授,Facebook /索邦大学审查员越南圣迈IMT Atlantic高级讲师邀请弗洛里安·斯特鲁布博士,Deepmind对于那些及时看到自己错误的人...3谢谢你首先,我要感谢我的两位博士生导师Olivier和Philippe。奥利维尔,"站在巨人的肩膀上"这句话对你来说完全有意义了。从科学上讲,你知道在这篇论文的(许多)错误中,你是我可以依
recommend-type

实现实时监控告警系统:Kafka与Grafana整合

![实现实时监控告警系统:Kafka与Grafana整合](https://imgconvert.csdnimg.cn/aHR0cHM6Ly9tbWJpei5xcGljLmNuL21tYml6X2pwZy9BVldpY3ladXVDbEZpY1pLWmw2bUVaWXFUcEdLT1VDdkxRSmQxZXB5R1lxaWNlUjA2c0hFek5Qc3FyRktudFF1VDMxQVl3QTRXV2lhSWFRMEFRc0I1cW1ZOGcvNjQw?x-oss-process=image/format,png) # 1.1 Kafka集群架构 Kafka集群由多个称为代理的服务器组成,这
recommend-type

使用vue3+elementsplus封装一个提示确认框的组件,要求将请求地址和确认框展示信息作为参数暴露出去

好的,下面是使用 Vue3 和 ElementPlus 封装一个提示确认框的组件,同时将请求地址和确认框展示信息作为参数暴露出去。 ```vue <template> <el-dialog title="确认提示" :visible.sync="dialogVisible" width="30%" :before-close="handleClose" > <p>{{ message }}</p> <span slot="footer" class="dialog-footer"> <el-button @click="di
recommend-type

c++校园超市商品信息管理系统课程设计说明书(含源代码) (2).pdf

校园超市商品信息管理系统课程设计旨在帮助学生深入理解程序设计的基础知识,同时锻炼他们的实际操作能力。通过设计和实现一个校园超市商品信息管理系统,学生掌握了如何利用计算机科学与技术知识解决实际问题的能力。在课程设计过程中,学生需要对超市商品和销售员的关系进行有效管理,使系统功能更全面、实用,从而提高用户体验和便利性。 学生在课程设计过程中展现了积极的学习态度和纪律,没有缺勤情况,演示过程流畅且作品具有很强的使用价值。设计报告完整详细,展现了对问题的深入思考和解决能力。在答辩环节中,学生能够自信地回答问题,展示出扎实的专业知识和逻辑思维能力。教师对学生的表现予以肯定,认为学生在课程设计中表现出色,值得称赞。 整个课程设计过程包括平时成绩、报告成绩和演示与答辩成绩三个部分,其中平时表现占比20%,报告成绩占比40%,演示与答辩成绩占比40%。通过这三个部分的综合评定,最终为学生总成绩提供参考。总评分以百分制计算,全面评估学生在课程设计中的各项表现,最终为学生提供综合评价和反馈意见。 通过校园超市商品信息管理系统课程设计,学生不仅提升了对程序设计基础知识的理解与应用能力,同时也增强了团队协作和沟通能力。这一过程旨在培养学生综合运用技术解决问题的能力,为其未来的专业发展打下坚实基础。学生在进行校园超市商品信息管理系统课程设计过程中,不仅获得了理论知识的提升,同时也锻炼了实践能力和创新思维,为其未来的职业发展奠定了坚实基础。 校园超市商品信息管理系统课程设计的目的在于促进学生对程序设计基础知识的深入理解与掌握,同时培养学生解决实际问题的能力。通过对系统功能和用户需求的全面考量,学生设计了一个实用、高效的校园超市商品信息管理系统,为用户提供了更便捷、更高效的管理和使用体验。 综上所述,校园超市商品信息管理系统课程设计是一项旨在提升学生综合能力和实践技能的重要教学活动。通过此次设计,学生不仅深化了对程序设计基础知识的理解,还培养了解决实际问题的能力和团队合作精神。这一过程将为学生未来的专业发展提供坚实基础,使其在实际工作中能够胜任更多挑战。
recommend-type

关系数据表示学习

关系数据卢多维奇·多斯桑托斯引用此版本:卢多维奇·多斯桑托斯。关系数据的表示学习机器学习[cs.LG]。皮埃尔和玛丽·居里大学-巴黎第六大学,2017年。英语。NNT:2017PA066480。电话:01803188HAL ID:电话:01803188https://theses.hal.science/tel-01803188提交日期:2018年HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaireUNIVERSITY PIERRE和 MARIE CURIE计算机科学、电信和电子学博士学院(巴黎)巴黎6号计算机科学实验室D八角形T HESIS关系数据表示学习作者:Ludovic DOS SAntos主管:Patrick GALLINARI联合主管:本杰明·P·伊沃瓦斯基为满足计算机科学博士学位的要求而提交的论文评审团成员:先生蒂埃里·A·退休记者先生尤尼斯·B·恩